Senin, 27 Desember 2010

SSD(sevent segment display

0 komentar

Seven Segmen Display merupakan sebuah rangkaian komponen logika digital yang dapat menampilkan sebuah karakter berupa angka-angka maupun huruf sehingga dapat dimengerti oleh manusia. Seven segmen display terdapat 7(tujuh) dioda berupa LED(Light Emitting Diode) membentuk sebuah karakter yang diinginkan dengan menyalanya LED sebagai penanda.
Susunan dari LED yang berada pada seven segmen display :




Seven segment adalah bagian dari suatu rangkaian yang terbentuk dari rangkaian garis yang dapat menampilkan hasil berupa angka dan juga huruf yang merupakan output dari suatu input yang telah dimasukan. Seven segment juga alat tampilan elektronik yang bisa menampilkan penomoran decimal. Seven segkment terdiri atas barisan LED (Light Emitting Diode) yang saling tersusun. Tampilan sederhana dari seven segment seperti berikut :



Seven segment dalam penggunaannya biasanya memiliki inisial beripa huruf a sampai g yang nantinya akan membentuk angka.

Didalam seven segment suatu IC yang berupa Dekoder akan dapat menampilkan suatu bilangan kode yang akan ditangkap secara visual oleh suatu display. Sejatinya rangkaian seven segment terdiri atas 4 switch yang nantinya akan diolah menjadi suatu masukan yang akan mengeluarkian output berupa nyalanya LED pada seven segment. Dibawah ini merupakan tabel kebenaran yang dihasilkan dari decoder seven segmen display type common anoda.




Dalam seven segment biasanya hanya digunakan sistem digital sebagai basis datanya yang juga biasa di sebut sebagai Bit (Binary Digit). Umumnya direpresentasikan dengan satu dari dua kondisi yaitu 1 (High), dan 0 (Low).
BCD atau binary-coded decimal adalah suatu cara penulisan angka desimal yang pada setiap digitnya direpresentasikan dengan bilangan biner. BCD biasanya direpresentasikan oleh 4 bit bilangan biner yang mempresentasikan angka 0 - 9. Contoh dari penulisan BCD yaitu:
Contoh program tampilan bit pada seven segment.
entity segment is
port ( d : in std_logic_vector (3 downto 0);
s : out std_logic_vector (7 downto 0));
end segment;
architecture behavioral of segment is
begin
s <= "00000110" when d="0001" else --1
"01011011" when d="0010" else --2
"01001111" when d="0011" else --3
"01100110" when d="0100" else --4
"01101101" when d="0101" else --5
"01111101" when d="0110" else --6
"00000111" when d="0111" else --7
"01111111" when d="1000" else --8
"01101111" when d="1001" else --9
"01100111" when d="1010" else --A
"01111100" when d="1011" else --B
"00111001" when d="1100" else --C
"01011110" when d="1101" else --D
"01111001" when d="1110" else --E
"01110001" when d="1111" else --F
"11111111" ; --0
end behavioral;